MCU和CPLD组成的船用仪表显示接口

来源 :上海海事大学学报 | 被引量 : 0次 | 上传用户:kenching
下载到本地 , 更方便阅读
声明 : 本文档内容版权归属内容提供方 , 如果您对本文有版权争议 , 可与客服联系进行内容授权或下架
论文部分内容阅读
  摘 要:针对通常微控制器(Multi Control Unit, MCU)接口设计方法所需芯片种类多、印刷线路板面积大及设计复杂等缺点,采用复杂可编程逻辑器件(Complex Programmable Logic Device, CPLD)设计方法,合理设计智能仪器仪表的微控制器外围电路.微控制器外围的看门狗监视电路、接口电路、地址锁存器、地址译码器和I/O扩展接口由CPLD XC9572XL编程实现.CPLD多种数字逻辑系统功能的实现,使芯片种类和数量减少、管脚定义灵活、电路板设计简化.实验表明:系统体积缩小,系统的性能和可靠性提高.由MCU和CPLD组成的高性能和高可靠性的嵌入式仪器仪表为船用仪器仪表系统提供新的途径.
  关键词:智能仪器仪表; 复杂可编程逻辑器件; 微控制器; 外围电路; 船用仪表
  中图分类号:U665.14; TP273文献标志码:A
  Display interface for marine instrument based on MCU and CPLD
  XIA Yongminga, SHI Jingb
  (a. Logistics Eng. College; b. Academy of Sci. & Tech., Shanghai Maritime Univ., Shanghai 200135, China)
  Abstract: Due to the usual design method of the MCU interface has such disadvantages as too many types of chip needed, large area of printed board, complicated design, taken the advantage of the method of the complex programmable logic device CPLD, the microcontroller unit (MCU’s peripheral circuit of the intelligent instrumentation) is designed. The watchdog’s monitoring circuit, interface circuit, address latch, address decoder and expanding of the I/O are realized by programming the CPLD XC9572XL. The realization of multiple digital logic functions of the CPLD can reduce the type and the number of the chip. And the flexibility of the pin definition can simplify the design of the circuit board. Experiments show that the system size is reduced and the reliability and performance are improved. The embedded instrumentation with high-performance and high-reliability based on MCU and CPLD provides a new way for marine instrumentation system.
  Key words: intelligent instrumentation; CPLD; MCU; peripheral circuit; marine instrument
  
  0 引 言
  
  仪器仪表被广泛应用于交通运输、机械、电子、冶金和航天装备等各个领域,其技术发展直接影响自动化技术、控制网络和安全控制技术的发展.
  近年来,国际上智能化测量控制仪表发展迅速.国内市场上也出现多种智能化测量控制仪表,但总体上看,我国的仪器仪表产品市场竞争力较弱,迫切需要加大仪器仪表方面研究工作的力度,研制出具有自主知识产权和自主创新的仪器仪表.
  随着科学技术的迅速发展,尤其是微电子、计算机和通信技术变化日新月异,仪表从模拟仪表到数字仪表,已逐渐向智能化和网络化方向发展.智能仪表一方面可以通过现场总线接入自动测试系统;另一方面,使用者借助面板上的键盘和显示屏,可用对话方式选择测量功能并设置参数,组成现场总线自动检测控制系统,也可以通过网络组成仪表校验、测试和管理系统.
  智能化仪表的核心是单片机,由于其主要用于控制,故习惯将单片机称为微控制器(Multi Control Unit,MCU),用以实现各种测量和控制功能,其功能强、体积小,在应用时通常处于控制系统的核心地位并融入其中.从结构上看,单片机把微型机主要部件集成在1块芯片上.但组成微型计算机测量和控制系统还必须包括外围设备和通信设备,一般用通用可编程接口(如8255)和标准集成芯片进行扩展,通过印刷电路板连接,搭建系统.该设计方法所需芯片种类多、数量大,占用印刷线路板面积大,设计时缺少灵活性.本系统摒弃标准集成块+印板连线的传统设计方法,采用新的基于芯片的可编程逻辑器件(Programmable Logic Device,PLD)设计方法,将可编程技术应用到器件的设计上.通过芯片设计实现多种数字逻辑系统功能和管脚定义:多种数字逻辑系统功能的实现,可减少芯片的种类和数量;管脚定义的灵活性,可简化电路板设计,缩小系统体积,提高系统的性能和可靠性.20世纪90年代后,复杂可编程逻辑器件(Complex Programmable Logic Device,CPLD)成为PLD产品主流,Xilinx的CPLD器件中常用的有XC 9500系列器件,其tPD①最快达3.5 ns,宏单元数达288个,可用门数达6 400个,系统时钟可达到200 MHz.值得注意的是:(1)器件采用快闪存储技术(FastFLASH),可在系统内编程,最小编程/擦除次数达10 000次,与E2CMOS工艺相比,功耗明显降低;(2)驱动负载能力强,每个输入/输出端口的负载电流可达24 mA,与其他公司的CPLD相比[1],其负载能力更强,可直接驱动LED(Light Emitting Diode)显示,节省接口的驱动电路,缩小印刷线路板面积.传统设计方法一般使用逻辑代数化简,以所用门数较少且能达到设计要求为标准,而CPLD设计却以功能块FB(Function Block)为单元进行设计,以所用FB最少且能达到设计要求为标准.最常用的XC 9500XL性能参数见表1.XC 9500XL是XC 9500系列器件的3.3 V器件,本系统采用100 TQFP (Thin Quad Flat Package)封装XC 9572XL达到设计要求.
     注:①tPD代表pin-to-pin时延特性,由于CPLD具有延时可预测性,因此延迟与适配后的布局无关.该设计方法的特色为:(1)仅用1片芯片提供1个基于单片机系统总线的键盘与LCD/ LED显示器接口,该接口不但不占用单片机系统资源,还能扩展系统外接能力;(2)CPLD编程设计上,通过芯片设计实现多种数字逻辑系统功能,减少对MCU芯片的引脚信号需求,进一步简化电路设计,提高系统结构的紧凑性和可靠性,特别适用于船用嵌入式仪器仪表系统.
  
  1 智能控制仪表外围电路信息的显示和抗干扰设计设计采用MCU和CPLD组成的高性能
  
  和高可靠性的嵌入式温度、压力和流量仪表,为船用控制仪表系统提供新的设计方法.控制仪表主要由数据采集、控制、译码/驱动显示和通信4大部分组成.由硬件和软件2部分协调完成:硬件主要完成各种传感器信号的采集和转换,各种信息的显示等;软件主要完成信号的处理、控制功能及通信等.以下着重介绍外围电路——信息的显示和抗干扰设计.
  
  1.1 信息的显示设计
  智能仪器仪表分为便携式和固定式2种,其在智能系统中的侧重点不同.前者侧重功耗微、体积小,适合选择LCD 发光显示器;后者(特别是用于工业现场时)侧重环境光照强弱不同情况下显示的清晰稳定,适合选择LED 发光显示器.
  中国专利ZL 200520046271.7提供1种基于基本总线的键盘与 LED 显示器接口模块[2],包含基本总线、显示器接口芯片8279、键盘和连接插头.其特征在于:基本总线的控制信号通过双向控制线及驱动芯片与控制芯片的控制线口连接,该双向控制线还与驱动芯片和动态芯片相连接.由于采用通用芯片和传统的设计方法,所需芯片种类多,接口芯片有:显示器接口芯片8279、译码芯片和驱动芯片,所占印刷线路板面积大,控制芯片的控制线需与MCU控制线连接,通过印刷电路板连接、搭建系统,设计时缺少灵活性,不适用于嵌入式智能化仪表的小键盘与LCD/ LED显示器接口.
  下面以LED 显示为例,简述单片机与LED显示接口设计.LED发光二极管显示器是1种由外加电压加在发光二极管上产生可见光的器件,具有体积小、重量轻、工作电压低、稳定、寿命长、响应时间短(一般不超过0.1 μs)、发光均匀、清晰、亮度高等优点,与液晶显示器相比,更适合在光线暗的环境中使用.其主要缺点是工作电流较大,一般芯片不能直接驱动.Xilinx XC 9500驱动负载能力强,每个输入/输出端口的负载电流可达24 mA,可直接驱动LED显示,常见LED显示器有LED数码管和LED指示灯,在控制显示方面均有使用.
  与LCD显示器不同,LED显示器的大小和位数有多种选择,电路相对LCD简单,一般不采用LED显示模块形式,有多种选择显示接口方案.显示器显示接口按驱动方式可分为静态显示和动态显示2种,按数码显示器接口是否带译码器可分成译码和非译码2种,微控制器向显示器接口传送数据的方式有并行和串行传送方式2种.
  目前流行的LED显示/键盘接口采用通用可编程芯片设计,LED显示器接口一般为动态、非译码和并行传送方式显示数据,接口还需驱动芯片.
  设计MCU与LED 显示器接口时,因为人的视觉具有暂留特点,所以要解决的瓶颈问题不是提高显示扫描速度,而是如何利用较少的MCU口线,连接成稳定可靠的LED 显示电路.本文采用1个串入并出的移位寄存器,用MCU串行输出,能很好地解决MCU与LED 的显示接口电路.现场运行表明,LED 显示清晰、稳定、不闪烁,特别是在光照强弱不同的情况下,可以在线调整LED 发光的亮度,获得视觉与功耗的最佳效果.
  
  CPLD中LED接口包含:串入并出的移位寄存器、位选译码、三态、输出驱动等.MCU通过CPLD逻辑与LED 显示器连接,采用动态、非译码和串行传送方式显示数据,可连接8个8段LED数码显示器或64个LED指示灯,图中只示意4个8段共阳LED数码显示器和8个LED指示灯,可根据需要增减.采用串行接口方式,它仅占用系统2个I/O口,一个用作数据引线DK DATA,另一个用作时钟信号线DK CLK,节省微控制器的I/O口.其中,DK Q0~DK Q7为LED显示器数据线即段码线,BIT0~BIT4为译码后的LED显示器位码扫描线,与MCU P1口相连.由它们发送扫描信号,采取PCLD逻辑实现串入并出的移位寄存器和译码/驱动,节省MCU的I/O口和外接驱动器.
  在LED显示器接口中,串入并出数据DK Q0~DK Q7兼作为键盘扫描线,KEY0和KEY1为键盘数据回送线,增加KEY线即可增加键盘数.MCU中使用的键盘一般是非编码键盘,因按键相对较少,所以又称小键盘.图1有16个按键,组成行列结构,键盘扫描时,先从DK Q0~DK Q7输出全低电平,检测KEY.如果KEY线为高电平则表示无键闭合;若KEY等于0,表示有键按下,然后从DK Q0~DK Q7依次输出低电平,再依次检测KEY.如果KEY线为高电平则表示无键闭合;若KEY等于0,将DK Q0~DK Q7上的数据经简单处理就得到各键对应的键值.
  由图1可知,电路组成的特色为:采用串行接口方式,仅占用系统2个I/O口,节省MCU的I/O口.串并转换输出DK Q0~DK Q7作为LED显示器数据线即段码线,PCLD译码输出BIT0~BIT3作为LED显示器的位码扫描线,串入并出数据DK Q0~DK Q7兼作为键盘扫描线,减少引线.PCLD输入/输出块IOB驱动连接,节省驱动器芯片.
  
  1.2 抗干扰设计
  由于应用于工业现场的智能控制仪表有可靠性高,抗干扰能力强,能适应恶劣的工业现场环境(温差大、湿度大、噪声、电磁干扰强等)等显著特点,就需要对软件进行可靠性设计.笔者在每个模块后和程序PROM的空白区加上软件陷阱,并在一些重要的跳转指令之间进行软件冗余设计以及看门狗监视(WatchDogTimer,WDT).此外,还设计“出错”报警.
  WDT的功能就是当微控制器偶尔受噪音和射频干扰等环境因素的影响而导入错误运行状态时,在某特定时限内使微控制器复位,从而将其从错误状态中恢复过来,重新开始正常运行.系统正常运行时,CPU周期性地清零定时器,当然其清零周期应小于定时器的设定值(在设定定时周期的2/3时间内较合适),以保证定时器始终不能产生溢出信号.而当系统运行不正常时,由于CPU不能周期性地清零定时器,定时器产生溢出信号,以强迫CPU恢复系统的正常运行,并指示系统出现异常.研究表明:WDT应设计为独立于CPU之外工作,程序仅能对WDT启动和清零操作,CPLD中WDT包含1个关键字控制的预置计数器.
  上述智能仪器仪表的硬件WDT,显示接口电路,地址锁存器,地址译码器和I/O扩展接口由CPLD XC 9572XL编程实现.
  
  2 CPLD XC9572XL编程
  
  设计选用100TQFP微型扁平封装XC 9572XL,可有效利用空间,它有72宏单元,72引脚,tPD=5 ns,最高系统时钟为178 MHz.要合理使用XC 9572XL,必须对XC 9572XL CPLD器件非常熟悉,掌握其核心技术.对CPLD器件的编程,既是应用CPLD器件,更是设计CPLD器件.
  
  2.1 XC 9572XL系列器件编程结构[3]
  XC 9500系列器件在结构上基本相同,每个XC 9500器件由1个多功能块(Function Block,FB)和输入/输出块IOB组成,并有1个开关矩阵Fast CONNECT完全互连的子系统,设计采用XC 9572XL,有4个FB块,其逻辑是利用1个与或阵列来实现.54个输入连同其互补信号共108个信号在可编程与阵列中形成90个乘积项,乘积项分配器则将这90个乘积项的任何数目分配到每个宏单元.每个FB支持局部反馈通道,这一特性便于实现非常快速的计数器或状态机功能,因为所有的状态寄存器都在1个FB之内,CPLD编程设计的关键技术就是怎样设计FB的逻辑.
  Fast CONNECT开关矩阵和IOB输入/输出块用于选择输入项和输入缓冲/输出驱动功能.
  输入/输出块的每个输出有独立的输出摆率控制.如果传输速率不高而要求减少系统噪声,可以通过编程减慢输出沿的摆率.
  
  2.2 CPLD器件编程和下载[4]
  编写源文件前,根据与MCU和LED显示器连线最简原则,确定CPLD引脚,源文件中编写实现的逻辑关系.源文件包含前述WDT,显示接口电路,地址锁存器,地址译码器和I/O扩展接口.其中,LED接口有串入并出的移位寄存器、位选译码、三态和输出驱动等,WDT有1个关键字控制的预置计数器源文件.本设计中源文件较多,以下是1个简单的三态输出的锁存器文本文件.
  三态输出的锁存器ENTITY latch IS
  PORT (d: IN STD_LOGIC VECTOR(7 DOWNTO 0);
  q: OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
  定义引脚
   clk,oe: IN STD_LOGIC );
  END latch;
  ARCHITECTURE arcl OF latch IS
   SIGNAL qint: STD LOGIC_VECTOR(7 DOWNTO 0);
  BEGIN 定义逻辑
  PROCESS(clk, d)
  BEGIN
  IF (clk’EVENT AND clk=‘l’) THEN
  qint<=d;
  END IF;
  END PROCESS;
  q=qint WHEN (oe=‘0’)
  ELSE “ZZZZZZZZ”;
  END arc
  输入完成后,在编辑窗口进行语法检查,出错信息会显示在信息框中.语法检查通过之后将其加入到当前项目中.
  设计进行电路板实现时包括一系列操作,具体顺序如下:转换→映射→布局和布线→时序分析→配置.在设计执行过程中,如果引脚配置或设计输入有错误,或者设计耗费的资源过大,实现过程都将终止.排除错误之后,须重新执行上述全过程.
   下载Xilinx的CPLD器件需要注意2点:(1)下载前,应将模式选在b上,尽可能降低系统功耗,确保稳定和标准的电压编程;(2)下载结束后,按下载电路板的复位键,使器件进入正常工作状态.
  
  3 结束语
  
  把单片机作为控制系统的核心,充分挖掘单片机性能强、功能灵活、具有良好数据处理能力等特点,实现各种测量和控制功能,但组成微型计算机测量和控制系统还必须包括外围设备和通信设备.本系统充分发挥CPLD高速、高可靠以及开发便捷、规范等优点,MCU外围的看门狗监视电路、显示接口电路、地址锁存器、地址译码器、I/O扩展接口由CPLD XC 9572XL编程实现,既减少芯片的种类、数量,又简化电路板设计,缩小系统体积,提高系统的性能和可靠性.系统设计充分运用单片机与大规模CPLD极强的互补性,由这2类器件相结合的电路结构组成的高性能和高可靠性的嵌入式仪器仪表为船用仪器仪表系统提供新的途径.
  
  参考文献:
  [1]周坚侯, 夏永明. 嵌入式应急电源微控制器的实现[J]. 天津大学学报, 2006, 39(S): 90-93.
  [2]上海海事大学. 基于基本总线的键盘与LED显示器接口模块: 中国, 200520046271.7[P]. 2007-07-04. http://search.sipo.gov.cn/sipo/zljs/hyjs-yx-new.jsp?recid.
  [3]Xilinx公司. XC 9572XL high performance CPLD preliminary product specification DS 057 (v1.4)[Z/OL]. CA[2003-8-21]. www.xilinx.com
  [4]DUECK R K. Digital design with CPLD applications & VHDL[M]. CA: Thomson Delmar Learning, 2005.
  (编辑 廖粤新)
  
  上海海事大学《计算机辅助工程》杂志成为“中国CAE工程分析技术年会”金牌合作媒体
  
  第4届中国CAE(Computer Aided Engineering,计算机辅助工程)工程分析技术年会于2008年6月6日在西安召开.上海海事大学《计算机辅助工程》作为金牌合作媒体参加本次盛会.
  本届年会由科技部“十一五”制造业信息化科技工程办公室、中国机械工程学会机械工业自动化分会、中国自动化学会制造技术专业委员会和陕西省国防科技工业信息化协会共同主办,北京诺维特机械科学技术发展中心承办.会议的研讨内容涵盖CAE仿真分析、高性能计算、数据模拟与仿真和流体计算等多个领域,涉及航空、航天、汽车、机械、船舶、兵器、电子、土木工程和教育等多个行业.
其他文献
摘要:  基于Talmy的运动事件理论框架,以“V across”结构为例分别自建英汉语料库来分析英汉语在路径表达上的差异,解读感知路径背后的认知机制。结果发现:第一,英汉语感知运动主要以视觉运动为主,听觉运动居于次位,其他感官的运动较少,且听觉运动与视觉运动相融合,形成通感隐喻;第二,英语视觉与听觉感知运动路径的编码均以矢量义为主,而汉语在编码视觉运动路径时主要以构形义为主,但汉语在编码听觉运动
期刊
摘要:  福克纳立足于美国南方,执着探索人类心灵的内在冲突这一永恒的主题。一方面,他痛斥南方人性的丧失,批判南方人逃避自我的生存状态,哀痛南方淑女的放纵堕落,同情南方种族主义下身份迷失的混血儿;另一方面,他又极力复苏人类的种种美德,充分肯定南方人敢于面对自我的勇气,醉心于南方女性的坚强与博爱,钦佩具有坚定人格的混血儿。从文学伦理学批评基本理论出发,试图管窥福克纳主要作品中的伦理关系和伦理现象,从而
期刊
摘要:  改革开放40多年以来,上海在政治、经济、外交上的实践促使“上海城市精神”到“上海精神”的嬗变。从时间维度来讲,“上海精神”基于上海这座城市的发展和变迁历史,蕴含于城市精神之中,体现出文化上多元和谐、经济上合作创新和外交上开放包容等内涵;从空间维度来讲,“上海精神”基于上海这个城市场域,在中国的改革开放以及中国参与区域治理中焕发出中华文明特质。进入中国特色社会主义新时代,“上海精神”所包含
期刊
摘要:  为探索高校教师国际化素质的激励机制,建立由教师、教学和科研国际化3个一级指标、7个二级指标和18个三级指标组成的评价指标体系,采用层次分析和主观经验相结合的方法确定指标权重,建立模糊综合评价模型。以地方工科高校能源类直属二级院系上海理工大学能源与动力工程学院为例,对其教师国际化现状与水平进行综合评价。在此基础上,以评价指标和结果为指导,提出教师国际化素质提升的应对方法与途径。  关键词:
期刊
摘要:  为主动应对新一轮科技革命与产业变革,教育部2017年以来发出了“新工科”建设的诸多倡议,在“新工科”建设的时代背景下,对我国近10年工程教育研究的现状进行量化分析具有重要意义。选择近10年来被中国社会科学引文索引(CSSCI)收录的与工程教育有关的文献,开展文献计量学研究。在对文献年度发文量、作者合作网络、文献共被引网络、期刊共被引网络和关键词共现网络进行定量分析的基础上,讨论了当前国内
期刊
摘要:在解决贫困这一人类发展进程中面临的千年难题过程中,精准扶贫方法论体系对马克思反贫困思想从社会制度条件和推进实施层面给出了极具时代性的拓新。从习近平关于扶贫的科学论述入手,基于其以人民为中心的扶贫战略的价值取向,理顺精准扶贫的生成逻辑及内涵阐释。明晰习近平关于精准扶贫的重要论述,不仅帮助我国建立了科学的贫困治理与促进发展的理论逻辑和运作模式,具有强烈的时代特征和中国特色,而且还突出了社会主义国
期刊
摘 要:为简化Daubechies双正交小波因数的求解,以紧支集Daubechies正交小波中尺度关系的Fourier变换余弦形式为基础,提出1种当双尺度因数为偶对称时,推导Daubechies双正交小波对偶尺度方程因数的方法, 并给出构造数值实例.此方法直观、简明.   关键词:正交小波;双尺度方程;Daubechies双正交小波  中图分类号:O241文献标志码:A  A short-cut
期刊
摘 要:为进行区域交通的协调控制, 针对微粒群算法的优缺点,提出基于全局最优退火的微粒群算法.该算法能增强算法探索和开发的能力,避免计算量过度增加.典型测试函数结果显示,能提高算法搜索速度、搜索精度.仿真结果表明,该方法应用于区域交通协调控制信号配时,可获得更低的车辆平均延误和平均停车率.  关键词:微粒群优化; 退火; 函数优化; 信号配时  中图分类号:U491.54; TP182文献标志码:
期刊
摘 要:运用交易费用理论、能力理论等方法对物流服务供应链(Logistics Service Supply Chain, LSSC)的演化机制、结构模型、内涵本质、协调机制等进行较为系统的定性研究,为深入系统研究LSSC提供理论基础.研究表明:受社会分工与专业化、节约交易费用和聚焦核心竞争力的动力驱使,LSSC形成独特的演化模式.LSSC本质上是1条能力供给链,只能通过物流能力的配置来优化供应链,
期刊
摘 要:针对局域网终端用户普遍存在很少使用防火墙的现象,结合防火墙的工作原理和DOS nuke攻击的特点,在OPNET网络仿真环境中设计DOS nuke攻击的攻防模型并在仿真环境中模拟DOS nuke攻击,通过加入防火墙之后受害主机接收到的网络数据包流量剧烈减少的实验结果,验证防火墙在防御DOS nuke攻击方面具有很好的功能.该方法对于仿真环境下分析防火墙的各项安全功能具有重要的意义.  关键词
期刊