搜索筛选:
搜索耗时2.3948秒,为你在为你在102,285,761篇论文里面共找到 14 篇相符的论文内容
类      型:
[学位论文] 作者:郇丹丹,, 来源: 年份:2006
随着存储系统的访问速度与处理器的运算速度的差距越来越显著,访存性能已成为提高计算机系统性能的瓶颈。如何通过设计高性能存储系统弥补处理器与存储系统性能的差距长期以...
[学位论文] 作者:郇丹丹, 来源:吉林大学 年份:2003
该文通过对现有动态组播路由算法的分析,设计出在组成员动态变化时基于延时约束不重组路由的DCDMR算法和允许路由重组的CRMR算法.DCDMR算法以优化目的地费用为目标,在组成员...
[期刊论文] 作者:蒋毅飞,郇丹丹,解鑫,, 来源:计算机辅助设计与图形学学报 年份:2011
对纹理元素透明度alpha的压缩是纹理压缩的重要组成部分.通过分析透明度和颜色的本质差异,提出了具有可变数据格式的透明度压缩——龙芯透明度压缩(LAC)方法.该方法定义了3种alpha压缩数据格式,用聚类算法和线性插值方法将16个输入alpha数据压缩为64比特,并依据......
[期刊论文] 作者:张媛,郇丹丹,薛虎圣, 来源:科技纵览 年份:2016
胶囊身材可以吃下去的机器人rn你做过胃镜吗?联想到长长的检查管插入你的口腔直达胃内……是否让你不寒而栗,或者心有余悸?还有更多你不敢想象的事:传统胃镜不是一次性设备,...
[期刊论文] 作者:郇丹丹,李祖松,刘志勇, 来源:计算机工程 年份:2007
提出了一种处理器接口测试模型,并给出了具体实现方案.该测试模型将仿真测试的方法应用于处理器接口测试,在激励生成中采用基于约束的随机测试生成方法.结果表明,基于约束的...
[期刊论文] 作者:李文,郇丹丹,高翔,唐志敏,, 来源:计算机工程与科学 年份:2006
本文给出了一种处理器系统接口部件的具体设计方案.该接口部件通过使用Split读和片外Cache来提高处理器的性能.测试结果表明,Split读和片外Cache能够以比较低的代价使处理器...
[期刊论文] 作者:郇丹丹,李祖松,胡伟武,刘志勇,, 来源:计算机研究与发展 年份:2007
处理器所能提供的有效带宽是目前制约处理器性能提高的关键因素.通过对Cache写失效行为的分析,提出了一种新的提高处理器带宽利用率的Cache写失效处理策略——Cache自适应写...
[期刊论文] 作者:李雄飞,臧雪柏,郇丹丹,董元方, 来源:吉林大学学报:信息科学版 年份:2003
仿真和测试是研究组播路由问题的重要方法,但Waxman随机网络模型不能用于测试动态组播路由算法.根据组播成员动态加入和退出时对组播性能和质量的影响程度,提出一个模拟组播...
[期刊论文] 作者:郇丹丹,李祖松,胡伟武,刘志勇,, 来源:计算机学报 年份:2007
随着存储系统的访问速度与处理器的运算速度的差距越来越显著,访存性能已成为提高计算机系统性能的瓶颈.通过对指令Cache和数据Cache失效行为的分析,提出一种预取策略——结合...
[期刊论文] 作者:李雄飞,苑森淼,王爱军,郇丹丹, 来源:计算机学报 年份:2002
相联规则是数据库知识发现领域的重要方法之一,用于发现满足用户指定最小支持度和最小信任度阈值的规则.其中,最小支持度阈值确定了研究数据集的规模,最小信任度阈值用来衡量...
[期刊论文] 作者:李祖松,郇丹丹,胡伟武,唐志敏,, 来源:Journal of Computer Science & Technology 年份:2008
Multithreaded technique is the developing trend of high performance processor.Memory consistency model is essential to the correctness,performance and complexit...
[期刊论文] 作者:郇丹丹,李祖松,王剑,章隆兵,胡伟武,刘志勇,, 来源:计算机研究与发展 年份:2007
随着存储系统的访问速度与处理器运算速度的差距越来越显著,访存性能已成为提高处理器性能的瓶颈.通过对程序的访存行为进行分析,提出快速地址计算的自适应栈高速缓存方案.该...
[期刊论文] 作者:赵继业,刘动,郇丹丹,苏孟豪,肖斌,徐英,史峰,陈晨,王松, 来源:Journal of Computer Science & Te 年份:2020
[期刊论文] 作者:王凯帆,徐易难,余子濠,唐丹,陈国凯,陈熙,勾凌睿,胡轩,金越,李乾若,李昕,蔺嘉炜,刘彤,刘志刚,王华强,王诲喆,张传奇,张发旺,张林隽,张紫飞,张梓悦,赵阳洋,周耀阳,邹江瑞,蔡晔,郇丹丹,李祖松,, 来源:计算机研究与发展 年份:2020
近年来以RISC-V为代表的开源指令集引领了开源处理器的设计潮流. 然而, 目前国内外的开源处理器性能孱弱, 不能满足学术界和工业级的需求. 为了填补空白, 香山处理器项目应运而生. 香山是一款开源高性能RISC-V处理器, 采用六发射超标量乱序执行设计, 目前在著名开源......
相关搜索: