基于FPGA的三态总线设计

来源 :商品与质量·消费视点 | 被引量 : 0次 | 上传用户:xgdiban
下载到本地 , 更方便阅读
声明 : 本文档内容版权归属内容提供方 , 如果您对本文有版权争议 , 可与客服联系进行内容授权或下架
论文部分内容阅读
  摘要:本文对MCU与FPGA组成系统的结构进行了分析,并以ALTERAFPGA的结构为基础,进行了总线互连的设计。
  关键词:可编程逻辑器件三态总线FPGA
  引言
  近年来,随着可编程逻辑器件的迅速发展,越来越多的系统设计开始采用这种半定制的ASIC(专用集成电路)。PLD(可编程逻辑器件)及整套EDA(电子设计自动化)工具的使用,为开发人员提供了自上而下设计系统的途径。这种系统可以简化系统设计、优化系统结构与电气特性、便于系统的调试与维护、缩短设计周期以及降低成本。尤其是在数字系统的研制阶段或中小批量生产中,上述优点更为明显。
  1、FPGA可重构系统结构分析
  在高速系统中实现人机界面以及参数设置等非高速操作,往往使用具有良好接口功能的通用MCU(微控制器)来实现。因此,这种由MCU和FPGA(FieldProgrammableGateArray)构成的系统使用更为广泛。按照MCU与FPGA之间的相互关系以及在系统中所起的作用,主要可以分为两类:MCU控制FPGA工作的系统和MCU协同FPGA工作的系统。
  1.1MCU控制FPGA工作的系统
  这类系统采用MCU作为系统的主要控制器件,在FPGA中实现控制器的外设电路功能。实质上,这是传统MCU控制系统的继承发展,它把各个分立的外部设备与接口,根据系统需要,在FPGA中定制实现。比如在FPGA中实现SRAM(静态随机存储器)、键盘与显示接口以及总线的扩展等应用。
  由于主要控制任务在MCU上实现,系统逻辑实现的重点在编制MCU程序上,而FPGA则更多的使用IP(IntellectualProperty)核实现基本功能模块。因此,在整个系统设计过程中,软件开发所占比重较大。
  1.2MCU协同FPGA工作的系统
  这类系统通常以可编程逻辑器件为核心,在其内部实现面向应用的逻辑功能,而MCU则占据次要地位。应该说,这类系统充分利用了可编程逻辑器件和MCU的特点,实现了优势互补。它主要应用在面向应用、并行处理以及高速等环境中。例如,使用高密度的FPGA进行高速的多路A/D采样,经内部处理模块处理后,并行输出结果,整个过程的时序控制在FPGA内部实现;而MCU只负责对FPGA各功能模块的参数装载,发送启动命令以及监控FPGA工作状态等任务。这类系统的开发重点主要在FPGA逻辑功能的硬件实现上,而MCU的控制软件就比较简單了。
  在实际应用中,系统的特点并不像以上两种类型这么明显,普遍存在的是兼具以上特点的系统,只是所占比重不同而已。
  2、MCU结合可编程逻辑器件系统的互连接口
  MCU与可编程逻辑器件互连,有直接连接和总线连接两种方式。直接连接只需把MCU与FPGA的I/O引脚相连即可。而总线连接可分为单向总线和双向总线两种类型。对于单向总线,实质上是一组以直接方式互连的I/O。而实现双向总线,就需要使用可编程逻辑器件的双向口构造三态总线了。
  三态总线的实现,需要使用三态缓冲器,实现高、低电平和高阻三个状态。在FPGA上可有内部和外部两种三态总线的实现方式。
  内部三态总线是在器件内部的各逻辑部分之间互连的总线。由于不同厂商采用不同的技术,因此内部三态主要有两种方法实现。一种是使用三态门实现真实的三态总线,这需要器件内部具有三态逻辑支持。比如XILINX公司的FPGA产品,在其内部CLB(可编程逻辑块)中,有三态缓冲器。另一种是把三态逻辑转化为MUX(多路选择器)实现,这样内部逻辑不需要三态门的支持。这种器件以ALTERA公司的FPGA为代表。虽然这一方法是模拟三态逻辑,但是具有降低成本和消除信号竞争的特点。
  外部三态总线是器件在端口上实现的,用来与其它芯片互连,这对于大量的系统设计是必须的。因此,所有的可编程逻辑器件都在I/O端口上实现了真实的三态缓冲。同时,由于大量双向总线的存在,所以三态总线往往与双向端口联系在一起,形成双向的三态总线。
  在含FPGA的MCU系统中,FPGA通过外部三态总线与MCU的总线端口互连。而且,一片FPGA通常实现多个功能模块,所以内部三态总线是外部系统总线在FPGA器件内部的延伸。因此,外部和内部的三态总线共同构成了连接MCU与FPGA的系统总线。本文以ALTERA公司的CYCLONE系列器件为例,来阐述双向三态总线的设计方法。
  ALTERA公司的ACEX1K系列器件是2003年推出的面向低成本、高容量以及低功耗等网络通信及控制应用的中密度可编程器件。它依然采用了ALTERA传统的LUT(查找表)结合EAB(嵌入式阵列块)结构,因此内部没有三态缓冲器,而是采用MUX模拟三态逻辑。这一转换过程,由QuartusII软件在编译时自动完成。
  在器件的I/O端口,每个IOE(输入输出元件)都包含一个I/O缓冲,以及一个既可做输入又可做输出的寄存器。由图1可见,在I/O引脚上实现了三态缓冲结构。当引脚作双向口使用时,IOE中的寄存器作为输出数据寄存器连接到三态门的输入端。输入数据和输出允许寄存器分别使用相邻LE(逻辑单元,是LAB的组成元素)中的寄存器,即双向三态的实现既使用了IOE,有使用了内部逻辑资源。
  3、基于ALTERA可编程逻辑器件实现三态总线的方法
  3.1内部三态总线的实现
  内部三态总线是外部三态总线在FPGA器件内部的延伸,用来连接可编程逻辑器件片内的各功能模块。
  ALTERACYCLONE器件使用MUX代替内部三态总线。使用ALTERA公司的集成编译环境QuartusII,在图形输入和AHDL语言输入方式下,可以自动进行这种转换。例如,下面是采用图形方式输入的内部三态逻辑(如图2),(a)、(b)两种情况为三态门驱动输出引脚和三态门驱动内部逻辑。   QuartusII将自动进行MUX转换(如图3),分别对应有无输出引脚。并且一个三态门只能驱动一个引脚,这是由器件的硬件结构决定的。可见三态门只能在I/O引脚上实现。
  3.2外部三態总线的实现
  3.2.1与其它芯片相连的外部三态总线
  MCU与FPGA采用总线方式互连,在MCU端有现成的总线接口,而在FPGA端,需要自行设计总线端口,即外部总线的实现就是总线端口的设计。此外,总线通常连接多个设备,因此需要实现双向三态总线。在ALTERA的FPGA中实现双向三态端口,QuartusII既支持语言方式输入,又支持图形方式输入,分别讨论如下。
  (1)双向三态总线的VHDL语言描述。
  下面一段VHDL代码描述了一个双向三态总线的端口。
  这段程序可以作为FPGA器件的对外端口逻辑模块。ext_data作为器件对外部的双向口,它的输出实现了三态,而它的输入,只要按正确时序读取外部信号,是不需要实现三态的。输入口in_data和输出口out_data是对FPGA内部的接口,这是由于内部逻辑不必实现双向,所以是输入和输出分开的。这一点在后面的图形表示中将更加直观。
  (2)双向三态总线的图形描述。
  依然以上述模块为例,采用QuartusII的图形方式输入,利用参数化模块库(LPM)实现(如图4)。这种方法尤为清晰简便。
  图中out_data为模块输出,实际对应FPGA的输入功能,所以与其相连的三态门保持常通状态以实现内部连接。这也是由于三态门不能直接驱动内部逻辑决定的。
  3.2.2用外部双向三态总线实现内部逻辑块的互连
  虽然ALTERA器件不支持内部三态,但是通过上面的图形描述,启发我们通过引脚上的三态门实现器件内部逻辑块之间的双向三态总线互连,当然,也可以使用MUX技术实现。
  图5为需要实现的内部逻辑互连,但是这种情况在ALTERA的FPGA上无法实现,需要转换成MUX。而通过使用两组双向引脚,利用I/O口上的三态门转化为外部总线,就可以实现了(如图6)。需要注意的是,图6中引脚间的互连需在PCB(PrintedCircuitBoard)板上实现,这里所用的BIDIRC端口只是对连接进行了表示,在FPGA器件内部不能实现。
  这种使用I/O端口实现的内部总线可以连接内部各个模块实现双向三态连接,但是是以占用I/O端口资源为代价的,所以如无特别要求,对内部三态总线应采用MUX逻辑实现。而对于如与MCU连接的外部双向三态端口,可直接使用图4所示方法实现,FPGA对外的输出实现三态控制,而输入不需三态控制,由内部逻辑保证输入正确性。
  4、结束语
  MCU与FPGA相结合的系统,由于其可重构、灵活的优点,十分适合工控系统的应用。而总线式系统结构是这一系统的主要特点之一,是实现系统逻辑功能的基础结构。
  参考文献:
  [1]AlteraCorp.ACEXCYCLONEProgrammableLogicDeviceFamilyDataSheet.Ver.1.1,2003.
  [2]曾凡泰,陈美金.VHDL程序设计.北京:人民邮电出版社,2000.
  [3]蒋璇.数字系统设计与PLD应用技术.北京:电子工业出版社,2001.
其他文献
摘要:随着时代的发展、社会的不断进步以及妇女地位在社会中的提升,使得夫妻人格变得相对独立、地位相对平等。在平等、开放思想深入人心的当今社会,人们的权利意识开始慢慢的增强,使得婚内侵权意识也得到相应的提升,婚内侵权和损害赔偿问题日益成为社会的热点问题。  关键词:婚内侵权;配偶权利义务;接轨    一、我国婚内侵权制度的现状  长期以来,我国并没有适用“婚姻契约理论”,一直都认为婚姻是男女双方因为感
期刊
摘要:互联网的快速发展,为传统金融提供了更多的可能性,极大提高了金融体系的效率。本文就互联网金融在产品、营销、支付等方面的创新优势展开论述, 并对传统金融的发展前景做出展望。  关键词:银行业;互联网 ;二维码支付    拓宽营销新渠道  随着越来越多的金融产品出现,互联网金融作为时下比较热门的话题备受关注。纵观传统银行业务的发展,在银行办理业务时“一望无垠”的排队大军、冗长繁琐的业务信息和手续一
期刊
摘要: 在当今信息科技时代,计算机俨然已经变成人们学习、娱乐以及工作的不可或缺的一部分。许多人都可以娴熟地运用计算机,然而计算机必需的日常维护工作却鲜有人做到,使得计算机时不时发生问题。更严重的造成数据完全丢失带来难以补偿的损失。该篇文章主要描述了计算机软件的一般维护带来的问题及其解决办法。  关键词: 计算机;软件;日常维护    计算机的软件维护工作是已经开发完成的软件在完全提供给用户后,确保
期刊
摘要:本文从巨额财产来源不明罪的立法目的入手,探析该罪的是否为举证责任倒置,被告人或犯罪嫌疑人在什么程度、负何种举证责任,以及在具体的司法实践中巨额财产来源不明罪是否是举证责任转移。  关键词:巨额财产来源不明;举证责任;无罪推定    我国刑法第395条第1款(巨额财产来源不明罪),除规定了该罪名“国家工作人员的财产或者支出明显超过合法收入, 差额巨大的”实体法上的这一要件,还规定了“责令说明来
期刊
摘要: 随着市场经济的发展,改变思路和方法,科学合理地开展企业所得税纳税筹划,减轻企业税负是提高企业竞争力的一个重要手段,在法律允许范围内合理筹划企业的各种税金,使企业税负最轻,已成为目前企业面临的最为热点问题之一,本文主要介绍纳税筹划的概念和基本原則的基础上,对税法下企业所得税纳税筹划的主要策略与方法进行分析和阐述。  关键词: 纳税筹划;企业所得税;策略    一、纳税筹划和企业进行纳税筹划的
期刊
随着科技的飞速发展,互联网已经成为我们工作、生活的一部分。商务贸易、网上购物,传递消息等都可以通过互联网完成,互联网使我们的工作、生活变得更加便捷。但是随之而来是,我们的邮箱充斥着各类垃圾讯息,我们的手机也时常收到各种房屋销售、代开发票、产品推销的短信,还时不时会接到各类推销电话。殊不知,这些很有可能是我们在网络中泄露了个人信息,而这些信息又被非法人员利用造成我们生活的困扰。目前,我国对网络个人信
期刊
摘要:本文论述了影视作品与录像制品独创性迥异,并分析了我国《著作权法》对两者性质的不同界定。最后,从保护主体和保护时间方面来论述法律对两者保护的差异。  关键词:影视作品;录像制品;独创性;保护方法    一、影视作品与录像制品的概念  根据《著作权法》,影视作品是电影和电视类作品的统称,是指摄制在一定介质上,由一系列有伴音或无伴音的画面组成,而且这些画面的衔接与声音的衔接,须表达出一定的思想内容
期刊
摘要:市场经济体制条件背景下,科研事业单位在市经济体制中所占地位水涨船高。不过,这也给科研事业组织机构带来了重要财务改革使命,要求单位财务组织相关的经济活动能够稳扎稳打、有条不紊的实现重大改革与持续性发展。因此,就事业单位财务组织相关管理活动而言,除却满足基本经营建设与科研發展需求外,还应当加强财会会计和预算会计相关的实务处理结合,以此更好的适应当前经济发展体制背景要求。  关键词:科学;科研;事
期刊
摘要:诉讼标的理论争议由来已久,也直接影响诉的变更的认定。本文抛开理论争议从实际出发看诉讼标的的内涵,在此基础上讨论诉的变更问题,本文所要讨论的诉的变更即诉讼标的的变更。在分析诉的变更现有规定存在的问题的基础上,本文试图对诉的变更的要件进行规范。  关键词:诉讼标的;诉的变更;诉的变更要件    民事诉讼中的诉讼标的理论是由“请求权竞合”问题引发的一个理论“怪圈”。诉讼标的虽然一直被奉为贯穿于民事
期刊
摘要:通过对农业路与南阳路交叉口的交通量调查,信号灯配时调查,与理论交叉口通行能力作对比,再通过交通流理论离散型分布的泊松分布模型,计算车辆到达该路口的二次排队率,来检验该路口的信号灯配时能否满足目前的交通需求和该路口的服务水平。  关键词:交叉口;交通量;信號灯配时;两次排队    一、交通现状调查  1.农业路与南阳路交叉口现状概况  道路交叉口是指两条或两条以上道路的相交处。车辆、行人汇集、
期刊