搜索筛选:
搜索耗时2.1258秒,为你在为你在102,285,761篇论文里面共找到 12 篇相符的论文内容
类      型:
[学位论文] 作者:罗维春, 来源:中国科学院大学 年份:2016
随着大规模集成电路制造技术进入45nm及以下节点,由于MOS器件的栅氧化层厚度减薄并接近失效极限,传统的CMOS技术遇到了高栅泄漏电流和多晶硅耗尽等技术难题,而高介电常数栅介质(......
[期刊论文] 作者:屠曾宏,王美瑛,沈春镒,罗维春, 来源:癌变.畸变.突变 年份:1991
双酚胺酸{Catech-3,6-bis(methylen-eimino diacetic acid),CBMIDA}对铀有良好的促排作用。为了解它的毒性,以作为推荐临床试用的参考,我们试验了该药的致畸作用和致突变作用...
[期刊论文] 作者:屠曾宏,王美瑛,沈春镒,唐卫东,祁晓东,罗维春, 来源:癌变.畸变.突变 年份:1991
双酚胺酸对铀有良好的促排解毒作用,但它却有明显的胚胎毒和致畸作用。用接近治疗的剂量100,250,500mg/kg肌注,引起吸收胎明显增多,甚至达100%。在不同剂量组幸存的鼠活胎中有...
[期刊论文] 作者:徐昊, 杨红, 王艳蓉, 王文武, 万光星, 任尚清, 罗维春,, 来源:null 年份:2016
[期刊论文] 作者:祁路伟, 杨红, 任尚清, 徐烨峰, 罗维春, 徐昊, 王艳蓉,, 来源:null 年份:2015
[期刊论文] 作者:王艳蓉,杨红,徐昊,罗维春,祁路伟,张淑祥,王文武,闫江,朱慧珑,赵超,陈大鹏,叶甜春,, 来源:Chinese Physics B 年份:2017
In the process of high-k films fabrication, a novel multi deposition multi annealing(MDMA) technique is introduced to replace simple post deposition annealing....
[期刊论文] 作者:徐昊,杨红,王艳蓉,王文武,万光星,任尚清,罗维春,祁路伟,赵超,陈大鹏,刘新宇,叶甜春,, 来源:Journal of Semiconductors 年份:2016
The time zero dielectric breakdown characteristics of MOSCAP with ultra-thin EOT high-k metal gate stacks are studied. The TZDB results show an abnormal area de...
[期刊论文] 作者:王艳蓉,杨红,徐昊,王晓磊,罗维春,祁路伟,张淑祥,王文武,闫江,朱慧珑,赵超,陈大鹏,叶甜春, 来源:中国物理B(英文版) 年份:2015
A multi-deposition multi-annealing technique (MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce t...
[期刊论文] 作者:王艳蓉,杨红,徐昊,王晓磊,罗维春,祁路伟,张淑祥,王文武,闫江,朱慧珑,赵超,陈大鹏,叶甜春,, 来源:Chinese Physics B 年份:2015
A multi-deposition multi-annealing technique(MDMA) is introduced into the process of high-k/metal gate MOSFET for the gate last process to effectively reduce th...
[期刊论文] 作者:祁路伟,杨红,任尚清,徐烨峰,罗维春,徐昊,王艳蓉,唐波,王文武,闫江,朱慧珑,赵超,陈大鹏,叶甜春,, 来源:Chinese Physics B 年份:2015
The positive bias temperature instability(PBTI) degradations of high-k/metal gate(HK/MG) n MOSFETs with thin Ti N capping layers(1.4 nm and 2.4 nm) are systemic...
[期刊论文] 作者:徐昊,杨红,罗维春,徐烨峰,王艳蓉,唐波,王文武,祁路伟,李俊峰,闫江,朱慧珑,赵超,陈大鹏,叶甜春,, 来源:Chinese Physics B 年份:2016
The thickness effect of the TiN capping layer on the time dependent dielectric breakdown(TDDB) characteristic of ultra-thin EOT high-k metal gate NMOSFET is inv...
[期刊论文] 作者:任尚清,杨红,唐波,徐昊,罗维春,唐兆云,徐烨锋,许静,王大海,李俊峰,闫江,赵超,陈大鹏,叶甜春,王文武,, 来源:Journal of Semiconductors 年份:2015
Positive bias temperature instability(PBTI) characteristics and degradation mechanisms of NMOSFET with high-k/metal gate last process have been systematically i...
相关搜索: